>首页> IT >

台积电3nm进度超前:关键突破性技术揭秘

时间:2021-02-23 11:27:52       来源:芯东西

在 2021 年国际固态电路会议(ISSCC)的开幕演讲中,台积电董事长刘德音以《揭秘创新未来》为主题,谈及许多引领芯片发展的创新技术。

半导体创新是驱动现代科技进步的关键。刘德音认为,半导体制程微缩脚步并未减缓,集成电路的晶体管密度、性能和功耗仍在持续进步,理想情况下,硬件创新应像编写软件代码一样容易。

刘德音不仅透露了台积电先进 3nm 工艺的研发进度提前,而且讨论了包括 EUV、新晶体管、新材料、芯片封装、小芯片、系统架构等一系列通向未来的突破性半导体技术。在这些技术驱动下,芯片工艺节点路线图能保持每两年大约 2 倍的能效性能提升。

一、7nm:半导体史上的重要分水岭

刘德音在演讲中说,从 2018 年开始量产的 7nm 逻辑技术是半导体史上的一个分水岭,标志着当时世界上最先进的半导体技术首次被所有半导体公司广泛使用。

这一分水岭时刻带来了跨广泛应用领域的变革产品,包括 5G 芯片、GPU、网络、游戏和汽车。

例如,AMD EYPC Gen2 处理器结合了新的芯片架构和 7nm 技术,为数据中心提供了创纪录的低功耗高性能计算。与上一代相比,其 7nm 芯片性能提高了 2 倍以上,或功耗降低 50%。

采用 7nm 工艺的 NVIDIA A100 AI 加速器,其性能提升 20 倍甚至更多,效果更加显著。这种创新的数据中心解决方案可以大幅降低总拥有成本,占用空间更小,并提供更高效的计算。

如今台积电 7nm 技术已应用于市场上超过 150 种产品。截至去年 8 月 20 日,台积电 7nm 芯片出货量突破 10 亿大关,足够覆盖 13 个曼哈顿城市街区。

“这是技术应用民主化的趋势,我们将继续稳步推进芯片级扩展、EUV 增强,以及各种器件增强技术,如高迁移率沟道。”刘德音说。

二、3nm:台积电进度超预期

刘德音特别提到,或许有人认为芯片技术的进步正在放缓,但台积电的产品数据显示,在相同速度或速度增益、相同的功耗和逻辑密度下,功耗降低的速度保持不变。

据他透露,台积电 3nm 进展顺利,甚至比预期进度超前一些。

台积电此前公开数据显示,与目前最先进的商用 5nm 芯片相比,3nm 芯片的逻辑密度将提高 75%,效率提高 15%,功耗降低 30%。

其 3nm 芯片将于今年晚些时候试产,预计将在 2022 年下半年开始商业化生产。

刘德音说,产学界一直密切合作,通过创新的晶体管结构、新材料、新系统架构和 3D 封装等技术来维系超越 3nm 的技术进步。

下面,让我们来看看其中的一些创新。

三、EUV:电源功率提高至 350W

光刻技术是推动晶体管密度提升的关键技术。近年来,极紫外(EUV)光刻技术的创新突破了 193nm 浸入式光刻技术的分辨率瓶颈。

相比采用多重图案化、多次曝光方式,EUV 光刻技术能使用较少层数的光罩,提供了更高的图案保真度,并减少了过程复杂性和缺陷率,从而缩短周期时间、提高生产效率。

可以公平地说,随着 EUV 光刻技术的引入,分辨率将不再像过去那样限制设备技术。相反,光刻吞吐量及其他半导体挑战上升为热门话题。

如何抵消 EUV 能耗的增加,并将模式的总成本降低到与可控模式相当甚至更低的水平,是至关重要的。

EUV 吞吐量的一个关键指标是电源功率。一个 EUV 光束发出后,只有不到 2% 的光线能保留下来,要降低成本,则需要光源足够强,中心焦点功率达到 250W。

而据刘德音透露,台积电 EUV 光源技术稳步发展,现在其电源功率已达到 350W,可支持 5nm 芯片量产,并为 3nm、2nm 的发展铺平了道路。

四、晶体管:5nm 引入新材料,2nm 转向 GAA

随着时间推移,光刻成本逐渐降低,新的晶体管结构和新材料也出现一些重大突破。

出于量产考虑,台积电在 5nm 和 3nm 节点均采用鳍式场效应晶体管(FinFET)结构,但在材料上有所创新。

台积电在 5nm 节点引入一种高迁移率沟道(high mobility channel,HMC)晶体管,将锗整合到晶体管的鳍片(Fin)中,而导线也利用钴与钌材料来持续挑战物理极限。

在 3nm 制程之后,台积电将在其 2nm 制程中采用更复杂的环绕栅极晶体管(gate-all-around,GAA)的纳米片(nanosheet)结构,提供相较 FinFET 更强的载流能力,持续优化芯片性能和功耗。

与前几代技术相比,纳米片晶体管实现了减少漏端引入的势垒降低(DIBL 效应)和更好的亚阈值摆幅(subthreshold swing),以提高电路性能,为 SRAM 带来更低的供电电压,可提供 0.46V 的可靠快取操作。

随着芯片上快取的需求越来越高,能将耗电降低到 0.5V 以下,将有助于改善芯片的整体功耗。

台积电已宣布将在中国台湾地区新竹建立一家晶圆厂,生产 2nm 芯片,但该公司尚未公布 2nm 制程的确切研发时间表。

五、DTCO:提升晶体管密度新思路

在过去几代技术中,台积电采用了设计 - 技术协同优化(Design & Technology Co-Optimization,DTCO)的概念,或者将 DTCO 与固有缩放相结合的方法,来实现所需的逻辑密度和降低成本。

DTCO 将原本各自孤立的设计与制造思维转为一种合作体制,能维持每一节点逻辑密度稳步提升 1.8 倍,芯片尺寸缩小 35% 至 40%。这种模式为系统级芯片(SoC)设计的重要领域带来进展。

刘德音预计 DTCO 的贡献将在今后的说明中继续增加。

六、新材料:低维材料取得重大突破

台积电也在寻找新的领域继续进军。我们在许多技术领域看到了有前途的研究。例如,低维材料,包括六方氮化硼(hexagonal boron nitride,hBN)等 2D 层状材料,在前端和后端都有很多机会。

据刘德音了解,低维材料近年来已经取得了重大突破。例如,台积电与多家学术团队合作成功地在 2 英寸晶圆衬底上外延生长单晶六方氮化硼(hBN)单层薄膜。这项研究发表在 2020 年 3 月的国际学术期刊《自然》上。

碳纳米管(CNT)也是未来晶体管的潜在候选者之一。台积电两个月前在 IEDM 上发表的一篇论文展示了其在碳纳米管沟道上的突破。台积电研发了独特的工艺流程来为碳纳米管提供 high-K 电介质等效栅极氧化物,适合于 10nm 栅极长度的晶体管。

此外,还有铜、硅锗、半氧化物及更多的新型材料将被引入晶体管制造,且并不局限于前端设备。

七、小芯片:面向特定领域的更优方案

先进的晶体管技术不仅提高性能和能效,而且还提供了必要的空间来增加功能,并在架构、应用和软件方面进行创新。

特定领域的 GPU 架构和应用处理器需要额外的晶体管来执行专门的功能。今天,最先进的单颗 GPU 有超过 500 亿个晶体管。

在系统层面,台积电的 InFo、CoWoS、SoIC 技术等多种解决方案,为封装系统的晶体管数量增加至 3000 亿开辟了道路。

刘德音不打算详细介绍台积电的 3DFabric 技术是如何工作的。他想指出的是,芯片业已不再只关注单个芯片,而是开始将单个芯片集成到系统中。这也被称之为小芯片(chiplet)。

最近小芯片已经成为一个非常热门的话题。刘德音说,在小芯片变得 “很酷”之前,就已经有很多人投入相关研发。

SoC 不再是唯一的最佳系统,多个小芯片封装在一起将发挥越来越重要的作用。这些小芯片可以在各自技术方面实现最优化,从而提高性能、能效、密度、成本和功能。

这可以概念化为特定领域技术(domain specific technology)的方法。特定领域的技术根据应用的特性,以适当的成本为封装系统提供适当的性能水平。

八、系统集成:I/O 密度增长 10000 倍成为可能

刘德音强调 3D 系统结构是让技术朝着正确方向发展的关键推手。台积电 SoIC 的最新进展包括 3DFabric,该技术可将多个芯片堆叠封装在一起。

下图展示了一个通过台积电 SoIC 和低温键合将 12 个裸晶堆叠的例子,总厚度不到 600μm,右侧是该 12 层堆叠 SoIC 的 X 光影像。

“看看这完美的排列……”刘德音在展示 3D 堆叠结构的 X 光影像感叹道。

这里,增加芯片之间的 I/O 密度是增加峰值带宽和减少传输能耗的关键。

今天的计算系统面临着带宽不足的问题。最近的数据显示,峰值吞吐量平均每两年增长 1.8 倍,而峰值带宽每两年增长仅约 1.6 倍。

显然,带宽不足的问题仍然存在。最有效的规范是增加 I/O 数量,幸运的是,I/O 互连密度还有很大的发展空间。

在过去的 10 年里,芯片互连密度快速发展,通过使用 SoIC 及其未来的扩展,包括单片三维集成、系统集成封装,密度有可能再提高 10000 倍。

为了提高系统吞吐量,我们需要更多的晶体管、更多的内存,以及晶体管和存储器之间更多的互连。

另一方面,内存从一端到另一端在系统堆栈中进行了优化,以提高能效。

刘德音仅展示了从封装到单片 3D 集成技术来实现这一点的几个例子,可以看到,这些技术越来越多地融合在一起。

片上存储也使存内计算成为一种新的计算方式,无论各种技术方法有何不同,能效都是最重要的计算目标。

为了满足高性能计算对内存带宽及移动应用对低功耗内存访问的需求,降低内存访问带来的能耗也将是核心优化方向。

台积电认为需要用高级封装技术将逻辑芯片和内存芯片集成方面进行创新,还需解决散热问题,为未来高密度集成芯片开发热解决方案。

结语:先进技术走向民主化

总之,在过去的 15 年里,芯片行业已经交付了新的性能水平、更低功耗的计算,实现了每两年大约 2 倍的能效、性能提升。

刘德音说,目前正大规模生产的台积电最新 5nm 技术、3nm 技术节点均在实现同样节奏的进步。

随着芯片产学界继续合作,在包括材料、设备、电路设计、系统封装、架构设计在内的多种创新驱动下,这种趋势正延续向未来。

历史已经证明,技术一开始掌握在少数人手中,但最终其成果将由大多数人享用。他认为培育一个广泛的设计生态系统是非常重要的,它可以降低进入门槛,释放出大量的创新。

“理想情况下,硬件创新应该像编写软件代码一样容易。当这种情况发生时,我们将看到应用程序和系统设计的又一次复兴。我们才刚刚开始。”刘德音说。

在 2021 年国际固态电路会议(ISSCC)的开幕演讲中,台积电董事长刘德音以《揭秘创新未来》为主题,谈及许多引领芯片发展的创新技术。

半导体创新是驱动现代科技进步的关键。刘德音认为,半导体制程微缩脚步并未减缓,集成电路的晶体管密度、性能和功耗仍在持续进步,理想情况下,硬件创新应像编写软件代码一样容易。

刘德音不仅透露了台积电先进 3nm 工艺的研发进度提前,而且讨论了包括 EUV、新晶体管、新材料、芯片封装、小芯片、系统架构等一系列通向未来的突破性半导体技术。在这些技术驱动下,芯片工艺节点路线图能保持每两年大约 2 倍的能效性能提升。

芯东西对此次演讲的重点信息进行系统梳理,全文如下:

一、7nm:半导体史上的重要分水岭

刘德音在演讲中说,从 2018 年开始量产的 7nm 逻辑技术是半导体史上的一个分水岭,标志着当时世界上最先进的半导体技术首次被所有半导体公司广泛使用。

这一分水岭时刻带来了跨广泛应用领域的变革产品,包括 5G 芯片、GPU、网络、游戏和汽车。

例如,AMD EYPC Gen2 处理器结合了新的芯片架构和 7nm 技术,为数据中心提供了创纪录的低功耗高性能计算。与上一代相比,其 7nm 芯片性能提高了 2 倍以上,或功耗降低 50%。

采用 7nm 工艺的 NVIDIA A100 AI 加速器,其性能提升 20 倍甚至更多,效果更加显著。这种创新的数据中心解决方案可以大幅降低总拥有成本,占用空间更小,并提供更高效的计算。

如今台积电 7nm 技术已应用于市场上超过 150 种产品。截至去年 8 月 20 日,台积电 7nm 芯片出货量突破 10 亿大关,足够覆盖 13 个曼哈顿城市街区。

“这是技术应用民主化的趋势,我们将继续稳步推进芯片级扩展、EUV 增强,以及各种器件增强技术,如高迁移率沟道。”刘德音说。

二、3nm:台积电进度超预期

刘德音特别提到,或许有人认为芯片技术的进步正在放缓,但台积电的产品数据显示,在相同速度或速度增益、相同的功耗和逻辑密度下,功耗降低的速度保持不变。

据他透露,台积电 3nm 进展顺利,甚至比预期进度超前一些。

台积电此前公开数据显示,与目前最先进的商用 5nm 芯片相比,3nm 芯片的逻辑密度将提高 75%,效率提高 15%,功耗降低 30%。

其 3nm 芯片将于今年晚些时候试产,预计将在 2022 年下半年开始商业化生产。

刘德音说,产学界一直密切合作,通过创新的晶体管结构、新材料、新系统架构和 3D 封装等技术来维系超越 3nm 的技术进步。

下面,让我们来看看其中的一些创新。

三、EUV:电源功率提高至 350W

光刻技术是推动晶体管密度提升的关键技术。近年来,极紫外(EUV)光刻技术的创新突破了 193nm 浸入式光刻技术的分辨率瓶颈。

相比采用多重图案化、多次曝光方式,EUV 光刻技术能使用较少层数的光罩,提供了更高的图案保真度,并减少了过程复杂性和缺陷率,从而缩短周期时间、提高生产效率。

可以公平地说,随着 EUV 光刻技术的引入,分辨率将不再像过去那样限制设备技术。相反,光刻吞吐量及其他半导体挑战上升为热门话题。

如何抵消 EUV 能耗的增加,并将模式的总成本降低到与可控模式相当甚至更低的水平,是至关重要的。

EUV 吞吐量的一个关键指标是电源功率。一个 EUV 光束发出后,只有不到 2% 的光线能保留下来,要降低成本,则需要光源足够强,中心焦点功率达到 250W。

而据刘德音透露,台积电 EUV 光源技术稳步发展,现在其电源功率已达到 350W,可支持 5nm 芯片量产,并为 3nm、2nm 的发展铺平了道路。

四、晶体管:5nm 引入新材料,2nm 转向 GAA

随着时间推移,光刻成本逐渐降低,新的晶体管结构和新材料也出现一些重大突破。

出于量产考虑,台积电在 5nm 和 3nm 节点均采用鳍式场效应晶体管(FinFET)结构,但在材料上有所创新。

台积电在 5nm 节点引入一种高迁移率沟道(high mobility channel,HMC)晶体管,将锗整合到晶体管的鳍片(Fin)中,而导线也利用钴与钌材料来持续挑战物理极限。

在 3nm 制程之后,台积电将在其 2nm 制程中采用更复杂的环绕栅极晶体管(gate-all-around,GAA)的纳米片(nanosheet)结构,提供相较 FinFET 更强的载流能力,持续优化芯片性能和功耗。

与前几代技术相比,纳米片晶体管实现了减少漏端引入的势垒降低(DIBL 效应)和更好的亚阈值摆幅(subthreshold swing),以提高电路性能,为 SRAM 带来更低的供电电压,可提供 0.46V 的可靠快取操作。

随着芯片上快取的需求越来越高,能将耗电降低到 0.5V 以下,将有助于改善芯片的整体功耗。

台积电已宣布将在中国台湾地区新竹建立一家晶圆厂,生产 2nm 芯片,但该公司尚未公布 2nm 制程的确切研发时间表。

五、DTCO:提升晶体管密度新思路

在过去几代技术中,台积电采用了设计 - 技术协同优化(Design & Technology Co-Optimization,DTCO)的概念,或者将 DTCO 与固有缩放相结合的方法,来实现所需的逻辑密度和降低成本。

DTCO 将原本各自孤立的设计与制造思维转为一种合作体制,能维持每一节点逻辑密度稳步提升 1.8 倍,芯片尺寸缩小 35% 至 40%。这种模式为系统级芯片(SoC)设计的重要领域带来进展。

刘德音预计 DTCO 的贡献将在今后的说明中继续增加。

六、新材料:低维材料取得重大突破

台积电也在寻找新的领域继续进军。我们在许多技术领域看到了有前途的研究。例如,低维材料,包括六方氮化硼(hexagonal boron nitride,hBN)等 2D 层状材料,在前端和后端都有很多机会。

据刘德音了解,低维材料近年来已经取得了重大突破。例如,台积电与多家学术团队合作成功地在 2 英寸晶圆衬底上外延生长单晶六方氮化硼(hBN)单层薄膜。这项研究发表在 2020 年 3 月的国际学术期刊《自然》上。

碳纳米管(CNT)也是未来晶体管的潜在候选者之一。台积电两个月前在 IEDM 上发表的一篇论文展示了其在碳纳米管沟道上的突破。台积电研发了独特的工艺流程来为碳纳米管提供 high-K 电介质等效栅极氧化物,适合于 10nm 栅极长度的晶体管。

此外,还有铜、硅锗、半氧化物及更多的新型材料将被引入晶体管制造,且并不局限于前端设备。

七、小芯片:面向特定领域的更优方案

先进的晶体管技术不仅提高性能和能效,而且还提供了必要的空间来增加功能,并在架构、应用和软件方面进行创新。

特定领域的 GPU 架构和应用处理器需要额外的晶体管来执行专门的功能。今天,最先进的单颗 GPU 有超过 500 亿个晶体管。

在系统层面,台积电的 InFo、CoWoS、SoIC 技术等多种解决方案,为封装系统的晶体管数量增加至 3000 亿开辟了道路。

刘德音不打算详细介绍台积电的 3DFabric 技术是如何工作的。他想指出的是,芯片业已不再只关注单个芯片,而是开始将单个芯片集成到系统中。这也被称之为小芯片(chiplet)。

最近小芯片已经成为一个非常热门的话题。刘德音说,在小芯片变得 “很酷”之前,就已经有很多人投入相关研发。

SoC 不再是唯一的最佳系统,多个小芯片封装在一起将发挥越来越重要的作用。这些小芯片可以在各自技术方面实现最优化,从而提高性能、能效、密度、成本和功能。

这可以概念化为特定领域技术(domain specific technology)的方法。特定领域的技术根据应用的特性,以适当的成本为封装系统提供适当的性能水平。

八、系统集成:I/O 密度增长 10000 倍成为可能

刘德音强调 3D 系统结构是让技术朝着正确方向发展的关键推手。台积电 SoIC 的最新进展包括 3DFabric,该技术可将多个芯片堆叠封装在一起。

下图展示了一个通过台积电 SoIC 和低温键合将 12 个裸晶堆叠的例子,总厚度不到 600μm,右侧是该 12 层堆叠 SoIC 的 X 光影像。

“看看这完美的排列……”刘德音在展示 3D 堆叠结构的 X 光影像感叹道。

这里,增加芯片之间的 I/O 密度是增加峰值带宽和减少传输能耗的关键。

今天的计算系统面临着带宽不足的问题。最近的数据显示,峰值吞吐量平均每两年增长 1.8 倍,而峰值带宽每两年增长仅约 1.6 倍。

显然,带宽不足的问题仍然存在。最有效的规范是增加 I/O 数量,幸运的是,I/O 互连密度还有很大的发展空间。

在过去的 10 年里,芯片互连密度快速发展,通过使用 SoIC 及其未来的扩展,包括单片三维集成、系统集成封装,密度有可能再提高 10000 倍。

为了提高系统吞吐量,我们需要更多的晶体管、更多的内存,以及晶体管和存储器之间更多的互连。

另一方面,内存从一端到另一端在系统堆栈中进行了优化,以提高能效。

刘德音仅展示了从封装到单片 3D 集成技术来实现这一点的几个例子,可以看到,这些技术越来越多地融合在一起。

片上存储也使存内计算成为一种新的计算方式,无论各种技术方法有何不同,能效都是最重要的计算目标。

为了满足高性能计算对内存带宽及移动应用对低功耗内存访问的需求,降低内存访问带来的能耗也将是核心优化方向。

台积电认为需要用高级封装技术将逻辑芯片和内存芯片集成方面进行创新,还需解决散热问题,为未来高密度集成芯片开发热解决方案。

结语:先进技术走向民主化

总之,在过去的 15 年里,芯片行业已经交付了新的性能水平、更低功耗的计算,实现了每两年大约 2 倍的能效、性能提升。

刘德音说,目前正大规模生产的台积电最新 5nm 技术、3nm 技术节点均在实现同样节奏的进步。

随着芯片产学界继续合作,在包括材料、设备、电路设计、系统封装、架构设计在内的多种创新驱动下,这种趋势正延续向未来。

历史已经证明,技术一开始掌握在少数人手中,但最终其成果将由大多数人享用。他认为培育一个广泛的设计生态系统是非常重要的,它可以降低进入门槛,释放出大量的创新。

“理想情况下,硬件创新应该像编写软件代码一样容易。当这种情况发生时,我们将看到应用程序和系统设计的又一次复兴。我们才刚刚开始。”刘德音说。

关键词: 台积电